Please use this identifier to cite or link to this item: https://idr.nitk.ac.in/jspui/handle/123456789/7180
Full metadata record
DC FieldValueLanguage
dc.contributor.authorKumar, A.
dc.contributor.authorTalawar, B.
dc.date.accessioned2020-03-30T09:58:36Z-
dc.date.available2020-03-30T09:58:36Z-
dc.date.issued2019
dc.identifier.citationProceedings of the 2nd International Conference on Smart Systems and Inventive Technology, ICSSIT 2019, 2019, Vol., , pp.746-751en_US
dc.identifier.urihttp://idr.nitk.ac.in/jspui/handle/123456789/7180-
dc.description.abstractThe problem of intra-communication between the Intellectual Properties(IPs) due to the rise in the amount of cores on single chips in System-on-Chip(SoC). Network-on-Chips(NoCs) has emerged as a reliable on-chip communication framework for Chip Multiprocessors and SoCs. Estimating NoC power and performance in the early stages has become crucial. We employ Machine Learning(ML) approaches to estimate architecture-level on-chip router models and performance. Experiments were carried out with distinct topology sizes with various virtual channels, injection rates, and traffic patterns. Booksim and Orion simulators are used to validate the results. Approximately 6% to 8% prediction error and a minimum speedup of 1500 � to 2000 � were shown in the framework. � 2019 IEEE.en_US
dc.titleAccurate Router Level Estimation of Network-on-Chip Architectures using Learning Algorithmsen_US
dc.typeBook chapteren_US
Appears in Collections:2. Conference Papers

Files in This Item:
There are no files associated with this item.


Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.